Web of Science: 0 citations, Scopus: 0 citations, Google Scholar: citations,
RisCO2 : Implementation and Performance Evaluation of RISC-V Processors for Low-Power CO Concentration Sensing
Núñez-Prieto, Ricardo (Universitat Autònoma de Barcelona. Departament de Microelectrònica i Sistemes Electrònics)
Castells-Rufas, David (Universitat Autònoma de Barcelona. Departament de Microelectrònica i Sistemes Electrònics)
Terés Terés, Lluís (Universitat Autònoma de Barcelona. Departament de Microelectrònica i Sistemes Electrònics)

Date: 2023
Abstract: In the field of embedded systems, energy efficiency is a critical requirement, particularly for battery-powered devices. RISC-V processors have gained popularity due to their flexibility and open-source nature, making them an attractive choice for embedded applications. However, not all RISC-V processors are equally energy-efficient, and evaluating their performance in specific use cases is essential. This paper presents RisCO2, an RISC-V implementation optimized for energy efficiency. It evaluates its performance compared to other RISC-V processors in terms of resource utilization and energy consumption in a signal processing application for nondispersive infrared (NDIR) CO sensors. The processors were implemented in the PULPino SoC and synthesized using Vivado IDE. RisCO2 is based on the RV32E_Zfinx instruction set and was designed from scratch by the authors specifically for low-power signal demodulation in CO NDIR sensors. The other processors are Ri5cy, Micro-riscy, and Zero-riscy, developed by the PULP team, and CV32E40P (derived from Ri5cy) from the OpenHW Group, all of them widely used in the RISC-V community. Our experiments showed that RisCO2 had the lowest energy consumption among the five processors, with a 53. 5% reduction in energy consumption compared to CV32E40P and a 94. 8% reduction compared to Micro-riscy. Additionally, RisCO2 had the lowest FPGA resource utilization compared to the best-performing processors, CV32E40P and Ri5cy, with a 46. 1% and a 59% reduction in LUTs, respectively. Our findings suggest that RisCO2 is a highly energy-efficient RISC-V processor for NDIR CO sensors that require signal demodulation to enhance the accuracy of the measurements. The results also highlight the importance of evaluating processors in specific use cases to identify the most energy-efficient option. This paper provides valuable insights for designers of energy-efficient embedded systems using RISC-V processors.
Grants: Agència de Gestió d'Ajuts Universitaris i de Recerca 2019/DI-056
Agencia Estatal de Investigación RTI2018-095209-B-C22
Agència de Gestió d'Ajuts Universitaris i de Recerca 2021/SGR-01623
Rights: Aquest document està subjecte a una llicència d'ús Creative Commons. Es permet la reproducció total o parcial, la distribució, la comunicació pública de l'obra i la creació d'obres derivades, fins i tot amb finalitats comercials, sempre i quan es reconegui l'autoria de l'obra original. Creative Commons
Language: Anglès
Document: Article ; recerca ; Versió publicada
Subject: RISC-V ; PULPino ; NDIR CO sensors ; FPGA ; Energy efficiency ; Signal demodulation ; Power consumption
Published in: Micromachines, Vol. 14, Num. 7 (July 2023) , art. 1371, ISSN 2072-666X

DOI: 10.3390/mi14071371
PMID: 37512682


14 p, 911.2 KB

The record appears in these collections:
Articles > Research articles
Articles > Published articles

 Record created 2024-01-20, last modified 2024-05-04



   Favorit i Compartir